Tecnologia resonant clock mesh nelle CPU AMD della famiglia Piledriver

Tecnologia resonant clock mesh nelle CPU AMD della famiglia Piledriver

Grazie alla tecnologia resonant clock mesh, sviluppata da Cyclos Semiconductor, le future CPU AMD basate su architettura Piledriver potranno beneficiare di un contenimento del consumo addizionale rispetto a quanto attualmente disponibile

di pubblicata il , alle 17:23 nel canale Scienza e tecnologia
AMD
 

Una delle caratteristiche tecniche peculiari che AMD implementerà all'interno delle soluzioni Piledriver, nome in codice che identifica l'archtiettura evoluzione di quella Bulldozer, è l'utilizzo della tecnologia resonant clock mesh sviluppata da Cyclos Semiconductor.

Questa tecnologia, inventata da Cyclos e che l'azienda americana ha illustrato in occasione dell'International Solid-State Circuits Conference (ISSCC) che si sta svolgendo in questi giorni a San Francisco, ha quale obiettivo quello di ottenere una migliore gestione della potenza erogata in ogni ciclo di clock dalla CPU durante il suo funzionamento così da minimizzarne il consumo complessivo.

Stando a quanto dichiarato dall'azienda l'implementazione della tecnologia resonant clock mesh avrebbe permesso di ottenere una riduzione della clock power distribuita all'interno della CPU sino al 24% quale picco e in percentuale variabile tra il 5 e il 10% quale media, mantenendo i target in termini di frequenza di clock richiesti da AMD per questi processori.

La tecnologia opera attraverso la presenza di induttori integrati nel chip, con i quali viene creato quello che è definito come "tank circuit" in grado di fatto di riciclare parte della potenza che viene fornita alla CPU al posto di vederla dissipare ogni ciclo di clock. Questo quanto dichiarato da Cyclos per meglio illustrare il funzionamento della tecnologia:

Cyclos resonant clock mesh technology employs on-chip inductors to create an electric pendulum, or “tank circuit”, formed by the large capacitance of the clock mesh in parallel with the Cyclos inductors. The Cyclos inductors and clock control circuits “recycle” the clock power instead of dissipating it on every clock cycle like in a clock tree implementation, which results in a reduction in total IC power consumption of up to 10%. Clock mesh power reduction is one area where EDA vendors have not yet delivered design solutions so the validation of resonant clock mesh technology via the AMD Piledriver design is welcome news to the IC design community. "High-performance processors have used clock mesh designs for years, but with growing emphasis on power reduction in both servers and mobile PCs, the traditional approach has become too power hungry," said Linley Gwennap, principal analyst of The Linley Group. "This announcement proves that the Cyclos resonant clock mesh technology provides meaningful power savings in real-world products. We expect other processor designers to adopt the Cyclos technology in applications where power reduction is important.

Le prime CPU basate su architettura Piledriver a debuttare sul mercato saranno quelle note con il nome in codice di Trinity, proposte APU che integrano al proprio interno CPU e GPU in un singolo package che AMD presenterà sul mercato presumibilmente nel corso del secondo trimestre 2012. A seguire troveremo la seconda generazione di CPU della famiglia FX, attualmente proposte sul mercato con architettura Bulldozer in abbinamento a schede madri socket AM3+. Piledriver verrà utilizzato anche per le future versioni di processore Opteron attese nel corso del 2012.

Cyclos ha già comunicato di voler estendere l'utilizzo di questa tecnologia anche a soluzioni system on a chip, così da poter ridurre il consumo complessivo di soluzioni destinate a dispositivi mobile quali tablet e smartphone. Un approfondimento su questa tecnologia è disponibile online a questo indirizzo.

11 Commenti
Gli autori dei commenti, e non la redazione, sono responsabili dei contenuti da loro inseriti - info
IlPanda22 Febbraio 2012, 18:08 #1
Quindi in pratica il processore al posto di disperdere tutta la potenza ne riutilizzerebbe una parte per autoalimentarsi e ridurre i consumi?
Se è così sembra molto interessate .
lucusta22 Febbraio 2012, 19:16 #2
mha'! forse, ma in sostanza utilizzano gli stessi transistor come capacitori? e come?, perche' se si devono integrare altre cose, aumentando la superfice, non credo che il 10% di consumo in meno sia altrettanto proficuo rispetto ad un aumento prestazionale....
Aegon22 Febbraio 2012, 20:37 #3
Originariamente inviato da: lucusta
mha'! forse, ma in sostanza utilizzano gli stessi transistor come capacitori? e come?, perche' se si devono integrare altre cose, aumentando la superfice, non credo che il 10% di consumo in meno sia altrettanto proficuo rispetto ad un aumento prestazionale....


Probabilmente, migliorando il processo produttivo, il die rimarrà inalterato. Sicuramente è meglio ridurre i consumi che aumentare le prestazioni (soprattutto in ambito server), visto che quelle sono sufficienti praticamente per qualsiasi cosa... anche per giocare.

10% sono in media, ma 24% di picco non son pochi (su 125W "riciclarne" 30 non è male).
Se poi consideri che Trinity sarà un processore mobile ancora meglio.
LMCH22 Febbraio 2012, 21:24 #4
Originariamente inviato da: lucusta
mha'! forse, ma in sostanza utilizzano gli stessi transistor come capacitori? e come?, perche' se si devono integrare altre cose, aumentando la superfice, non credo che il 10% di consumo in meno sia altrettanto proficuo rispetto ad un aumento prestazionale....


No, i gate sono implicitamente delle capacitanze parassite.

Se ho compreso correttamente quello che intendono (potrei anche prendere una cantonata)
spiegando la cosa in modo molto spannometrico e grezzo (giusto per dare l'idea generale)
essenzialmente il circuito di distribuzione del clock (le linee su cui passa il segnale di clock) invece di essere modellato come una struttura ad albero che si dirama nel chip e finisce con resistenze di terminazione (non proprio ma spero che renda l'idea), viene invece modellato come una rete con maglie interconnesse (una "griglia".
A quel punto hai l'equivalente di un grosso circuito elettrico con vari anelli ecc. prevalentemente con resistenze (sulle linee e sui gate) e capacitanze (quelle parassite sui gate).
In pratica a questo punto se ti limiti a modellarlo come una rete hai "rozzamente" un grosso circuito RC con resistenze e capacitanze distribuite.
Fin qui nessun vero vantaggio.
Se su un circuito RC spari un segnale periodico, le capacitanze caricandosi e scaricandosi tendono a "smussarlo" tagliando principalmente le componenti alte di frequenza (filtro passa-basso).
Quelli di Cyclos hanno "aggiunto induttanze" sulla rete in modo da ottenere un circuito RLC.
Visto che le induttanze L tagliano le frequenze basse (filtro passa-alto) un RLC propriamente dimensionato (in questo caso scegliendo bene L) è un passa-banda (C "taglia in alto", L "taglia in basso" e solo in una certa banda intermedia il segnale viene smorzato relativamente poco).
Se il clock che viene fornito sta nella "banda intermedia" viene attenuato di meno ed il circuito RLC "aiuta a tenerlo pulito da frequenze spurie".
Il risultato è che per avere una certa qualità di segnale di clock si può usare una potenza inferiore (grazie al filtraggio dell'RLC distribuito).
Questo spiegato a spanne ed in modo molto grezzo, eh!
L'idea di base è "semplice" ma per realizzarla bisogna calcolare tratto per tratto come modificare il carico sulle linee tenendo conto di come poi si ripercuote su tutto il resto della rete e ragionare più in termini di linee di trasmissione che di "circuito elettrico semplice".

N.B. Ripeto, quello che ho scritto sopra serve giusto per dare l'idea di massima, probabilmente ho scritto roba che per un telecomunicazionista o un microelettronico sono semplificazioni eccessive e/o sbagliate.
megawati22 Febbraio 2012, 21:30 #5
No, in realtà evita proprio di disperdere potenza sul segnale di clock.
In pratica (per gli ingegneri elettronici :-) invece di inviare un segnale su linee di trasmissione terminate elettricamente, si crea un'onda stazionaria su una linea risonante con perdite, che sono comunque più basse della potenza necessaria nel primo caso.
I contro di questo sistema sono due: che variare dinamicamente la frequenza del clock diventa un pò più complicato e che un induttore integrato in un chip porta via TAANTO spazio prezioso... integrare un induttore su silicio (o su qualsiasi altro semiconduttore) non si fa praticamente mai, per questo motivo. Forse lo ricaveranno al di sotto dei transistor, annegato nel silicio del substrato? Mah.
djfix1322 Febbraio 2012, 21:59 #6
mah...al giorno d'oggi una CPU da 130W è troppo!
poi dire che degli induttori generino recupero di corrente è tutto da valutare...una sorta di cella di Peltier inversa forse darebbe risultati migliori
coschizza22 Febbraio 2012, 22:08 #7
In questo link spiegano abbastanza bene le basi di questa tecnologia

http://www.semiwiki.com/forum/conte...tter-specs.html

link diretto al PDF

http://www.cyclos-semi.com/pdfs/tim..._the_clocks.pdf
Pleg22 Febbraio 2012, 22:10 #8
Confermo quanto scritto da LMCH e megawati, non c'e' alcun "recupero di energia" ma solo uan diversa rete di trasmissione del clock, piu' efficiente.

Anch'io ho i dubbi espressi da megawati, e cioe':
* come fare a integrare gli induttori
* come gestire il frequency scaling dinamico

Immagino che la rete RLC renda piu' lento cambiare la frequenza, ma non saprei quantificare. Certo se ci mettesse es. 100ns a spegnere la rete, chissenefrega, tanto ci metti molto piu' tempo a far ripartire i PLL.
LMCH23 Febbraio 2012, 01:43 #9
Originariamente inviato da: Pleg
* come fare a integrare gli induttori


Nel link indicato da coschizza sembra che vengano implementati come induttanze vere e proprie "a spirale" e che da soli si mangiano fino al il 5% dell'area del chip.

Originariamente inviato da: Pleg
* come gestire il frequency scaling dinamico


Per questo ragionavo in termini di filtro, al di sotto della banda di frequenza ottimale serve più potenza per mantenere il segnale, ma visto che il vero consumo avviene sulle commutazioni di fronte, la cosa viene compensata in parte dal minor numero di commutazioni per unità di tempo al calare della frequenza.
fbf23 Febbraio 2012, 11:15 #10
L'idea mi sembra abbastanza chiara in questa immagine:
http://www.semiwiki.com/forum/conte...cycled.jpg.html

Mi ricorda un pò il discorso dei led.
Se alimenti un led direttamente consumi x.
Se invece lo spegni e lo accendi molto velocemente consumi la metà e visivamente invece sembra che resti sempre acceso (cyclos inductors = led, clock = occhio).

Interessante, però credo che se gli arm continuano a crescere come negli ultimi anni (i benchmark dello Snapdragon S4 sono impressionanti specialmente se si considera che ogni core consuma al massimo 0.75W) gli x86 spariranno da qualunque dispositivo alimentato a batteria.

Devi effettuare il login per poter commentare
Se non sei ancora registrato, puoi farlo attraverso questo form.
Se sei già registrato e loggato nel sito, puoi inserire il tuo commento.
Si tenga presente quanto letto nel regolamento, nel rispetto del "quieto vivere".

La discussione è consultabile anche qui, sul forum.
 
^